VLSI/ASIC/VHDL Interview Questions

VLSI/ASIC/VHDL Interview Questions

1. **For a combinational process in VHDL, the sensitivity list should contain all of the signals that are read in the p…

Information

Information

In a couple of weeks time the current blog @ http://digitalelectronics.blogspot.com will be hosted on a dedicated doma…

Grid Computing Vs Cluster Computing

Grid Computing Vs Cluster Computing

A cluster computer is a set of CPU nodes that are used to solve any problem over a network. The way in which this coope…

PCI Express-based MicroTCA Design Options

PCI Express-based MicroTCA Design Options

PCI Express-based MicroTCA platforms are generating more and more interest. This paper describes how small and cost-e…

SuperSpeed Your SoCs with USB 3.0 IP

SuperSpeed Your SoCs with USB 3.0 IP

Since the introduction of the original USB standard in 1996, the USB interface has become one of the most successful c…

Advertisement: HP Coupons & Coupon Codes

Advertisement: HP Coupons & Coupon Codes

HP Home is the official HP website for personal computers, printing and imaging, servers and storage products for cons…

List of VLSI and related Conferences

List of VLSI and related Conferences

The following is a list of conferences specific to the VLSI industry. It was earlier part of a widget on the sidebar bu…

Post a Job on The Digital Electronics Blog

Post a Job on The Digital Electronics Blog

Now you can post a job on this blog for as low as $15/Week or $30/Month ! This blog receives approx 25,000 page views …

Pain Killers for the Fixed-Point Design Flow

Pain Killers for the Fixed-Point Design Flow

Taking a floating-point representation of an algorithm into a fixed-point representation is an integral step on the pat…

High level analysis of false paths

High level analysis of false paths

Sometimes the delay through a component is dependent upon the values on signals. This is because different paths in the…

Verilog2C++

Verilog2C++

Verilog2C++ is a Verilog to C++ translation program that translates a C++ class of a Verilog design using a cycle-ac…

Source Navigator for Verilog

Source Navigator for Verilog

Source Navigator for Verilog is full featured tool for editing and navigating through large projects with many Verilog…

Comit-TX Verilog Testbench Extractor

Comit-TX Verilog Testbench Extractor

Comit-TX extracts a self-checking Verilog testbench of any module inside a design that has a system level testbench.…

Incisive Conformal ASIC

Incisive Conformal ASIC

With thousands of Tapeouts, Conformal ASIC is the most widely-supported equivalency checking tool in the industry. It&#…

nECO for Verdi and Debussy debug systems

nECO for Verdi and Debussy debug systems

nECO is an integrated graphical netlist modification tool for the Verdi and Debussy debug systems. The Novas debug syst…

Probabilistic Timing Analysis

Because of shrinking feature sizes and the decreasing faithfulness of the manufacturing process to design features, pro…

VLSI Career counselling request form

VLSI Career counselling request form

Based on the competency level of the applicants we reserve the right to offer a free service or charge a minimum admini…

3D Integrated circuits

3D Integrated circuits

The semiconductor industry is struggling to maintain its momentum down the path of Moore’s Law, and it is becoming clea…

EDA Tools - VN-Cover Coverage Analysis

EDA Tools - VN-Cover Coverage Analysis

VN-Cover by TransEDA is a code and FSM coverage tool that identifies any unverified parts of a simulated HDL design. VN…

Copyright notice - Please read

Copyright notice - Please read

The articles, publications and shared documents are included in this blog by the contributing authors as a mechanism t…

GM Develops Augmented Reality Windshield

The entire windshield is turned into a transparent display to highlighting landmarks, obstacles and road edges on the w…

Top 25 Chip ranking for 2009

ISuppli's final global revenue ranking for the top 25 semiconductor suppliers in 2009, in millions of U.S. dollars…

#buttons=(Ok, Go it!) #days=(20)

Our website uses cookies to enhance your experience. Learn more
Ok, Go it!