Broadcom Bangalore - Interview Questions

MG
Written by
2
  1. The new vice president of your company has set up a contest for ideas to reduce leakage power in the next generation of chips that the company fabricates. The prize for the person who submits the suggestion that makes the best tradeoff between leakage power and other design goals is to have a door installed on their cube. What is your door-winning idea, and what tradeoffs will your idea require in order to achieve the reduction in leakage power?
  2. You're on the functional validation team for a chip that will control a simple portable CD-player. Your task is to create a plan for the functional validation for the signals in the entity cd digital. You've been told that the player behaves "just like all of the other CD players out there". If your test plan requires knowledge about any potential non-standard features or behaviour, you'll need to document your assumptions.
    • Display Show following: 2 digit Track #, 2 digit Minutes & 2 digit Seconds
    • Buttons Available: Prev, Stop, Play&Pause, Next, Pwr

    • entity cd_digital is
      port (
      -- buttons
      prev,
      stop,
      play&pause,
      next,
      pwr : in std_logic;
      -- detect if player door is open
      open : in std_logic;
      -- output display information
      track : out std_logic_vector(3 downto 0);
      min : out unsigned(6 downto 0);
      sec : out unsigned(5 downto 0)
      );
      end cd_digital;
    1. Describe five tests that you would run as soon as the VHDL code is simulatable. For each test: describe what your specification, stimulus, and check. Summarize the why your collection of tests should be the first tests that are run.
    2. Describe five corner-cases or boundary conditions, and explain the role of corner cases and boundary conditions in functional validation.

Possible Solutions in order:
  1. Increase transistor size so as to increase threshold voltage. This will require an increase in supply voltage, which will likely increase total power.
    • Alternative: When increasing transistor size, keep the supply voltage the same, but decrease performance.
    • Alternative: Change fabrication process and materials to reduce leakage current. This will likely be expensive.
    • Alternative: Use dual-Vt fabrication process.
  2. There can be many possible solutions but the best ones are what the interviewer is looking for.
    • The possible Tests:
      1. Test 1:
        • Specification: when power is turned on, the display will show the number of tracks on the CD, and the minutes and seconds will show the total length of the CD
        • Stimulus: power='0'; wait; power='1', all other signals are '0'.
        • check: display outputs of circuit match specification
      2. Test 2:
        • Specification: when power is on, play starts CD playing, display for track=1, min and sec show remaining time for song and start decrementing.
        • Stimulus: power='1'; play='0'; wait; play='1', all other signals are '0'.
        • Check: display outputs of circuit match specification
      3. Test 3:
        • Specification: when power is on and CD is playing, next starts next song. Display for track increments, min and sec show remaining time for next song and start decrementing.
        • Stimulus: power='1'; play='0'; next='0'; wait; play='1'; wait; next='1', all other signals are '0'.
        • Check: display outputs of circuit match specification
      4. Test 4:
        • Specification: when power is on and CD is playing, prev starts previous song. Display for track decrements, min and sec show remaining time for previous song and start decrementing.
        • Stimulus: power='1'; play='0'; prev='0'; wait; play='1'; wait; prev='1', all other signals are '0'.
        • Check: display outputs of circuit match specification
      5. Test 5:
        • Specification: when power is on and CD is playing, stop causes CD to stop.
        • Stimulus: power='1'; play='0'; stop='0'; wait; play='1'; wait; stop='1', all other signals are '0'.
        • Check: display outputs of circuit match specification
Now one last hurdle! Can you justify all the solutions above?

Difficulty: Medium

Post a Comment

2Comments

Your comments will be moderated before it can appear here. Win prizes for being an engaged reader.

  1. Corner Cases can be
    1) Insert the CD which is having more than 99 songs (3 digits to display).
    2) Apply "Prev" and "Next" simultaneously. Current track should not change.
    3) Apply "Stop" and "Play&Pause" simultaneously. Current track should not change the status ("Playing", "Stopped", "Paused")
    4) Go to last track, and see if the display is showing next 2 tracks (1st and 2nd). Do same with second last track.
    5) Play any track, and inbetween, make pwr=0. Player should stop. Now, again give pwr=1. This time, track should play from where it was stopped. (Or it could start from track 0)
    6) Play any track and inbetween, apply open=1 to detect whether the door is opend or not. Song should not be stopped or interrupted.

    -Sandeep Gor

    ReplyDelete
  2. Corner Cases can be
    1) Insert the CD which is having more than 99 songs (3 digits to display).
    2) Apply "Prev" and "Next" simultaneously. Current track should not change.
    3) Apply "Stop" and "Play&Pause" simultaneously. Current track should not change the status ("Playing", "Stopped", "Paused")
    4) Go to last track, and see if the display is showing next 2 tracks (1st and 2nd). Do same with second last track.
    5) Play any track, and inbetween, make pwr=0. Player should stop. Now, again give pwr=1. This time, track should play from where it was stopped. (Or it could start from track 0)
    6) Play any track and inbetween, apply open=1 to detect whether the door is opend or not. Song should not be stopped or interrupted.

    -Sandeep Gor

    ReplyDelete
Post a Comment

#buttons=(Ok, Go it!) #days=(20)

Our website uses cookies to enhance your experience. Learn more
Ok, Go it!